2 nm process

From Wikipedia, the free encyclopedia
Jump to navigation Jump to search

In semiconductor manufacturing, the 2 nm process is the next MOSFET (metal–oxide–semiconductor field-effect transistor) die shrink after the 3 nm process node. As of 2021, TSMC is expected to begin 2 nm production sometime after 2023; Intel also forecasts production by 2024.

The term "2 nanometer" or alternatively "20 angstrom" (a term used by Intel) has no relation to any actual physical feature (such as gate length, metal pitch or gate pitch) of the transistors. It is a commercial or marketing term used by the chip fabrication industry to refer to a new, improved generation of silicon semiconductor chips in terms of increased transistor density, increased speed and reduced power consumption.[1][2]

Background[edit]

In late 2018, TSMC chairman Mark Liu predicted chip scaling would continue to 3 nm and 2 nm nodes;[3] however, as of 2019, other semiconductor specialists were undecided as to whether nodes beyond 3 nm could become viable.[4]

TSMC began research on 2 nm in 2019.[5] TSMC expected to transition from FinFET to GAAFET transistor types when moving from 3 nm to 2 nm.[6]

Intel's 2019 roadmap scheduled potentially equivalent 3 nm and 2 nm nodes for 2025 and 2027 respectively.[7] In December 2019, Intel announced plans for 1.4 nm production in 2029.[7]

In August 2020, TSMC began building a R&D lab for 2 nm technology in Hsinchu, expected to become partially operational by 2021.[8] In September 2020 (SEMICON Taiwan 2020) it was reported that TSMC Chairman Mark Liu had stated the company would build a plant for the 2 nm node at Hsinchu in Taiwan, and that it could also install production at Taichung dependent on demand.[9] According to the Taiwan Economic Daily (2020) expectations were for high yield risk production in late 2023.[10][11] In July 2021, TSMC received governmental approval to build its 2 nm plant; according to Nikkei the company expects to install production equipment for 2 nm by 2023.[12]

At the end of 2020, seventeen European Union countries signed a joint declaration to develop their entire semiconductor industry, including developing process nodes as small as 2 nm, as well as designing and manufacturing custom processors, assigning up to 145 billion euro in funds.[13][14]

In May 2021, IBM announced it had produced 2 nm class transistor using three silicon layer nanosheets with a gate length of 12 nm.[15][16][17]

In July 2021, Intel unveiled its process node roadmap from 2021 onwards. The company confirmed their 2 nm process node called Intel 20A,[notes 1] with the "A" referring to angstrom, a unit equivalent to 0.1 nanometer.[19] At the same time they introduced a new process node naming scheme that aligned their product names to similar designations from their main competitors.[20] Intel's 20A node is projected to be their first to move from FinFET to Gate-All-Round transistors (GAAFET); Intel's version is named 'RibbonFET'.[20] Their 2021 roadmap scheduled the Intel 20A node for introduction in 2024.[20]

Beyond 2 nm[edit]

Intel have planned 18A (equivalent to 1.8 nm) products for 2025.[19]

Notes[edit]

  1. ^ Under Intel's previous naming scheme this node was known as 'Intel 5nm'.[18]

References[edit]

  1. ^ "TSMC's 7nm, 5nm, and 3nm "are just numbers… it doesn't matter what the number is"". Retrieved 20 April 2020.
  2. ^ Samuel K. Moore (21 July 2020). "A Better Way to Measure Progress in Semiconductors: It's time to throw out the old Moore's Law metric". IEEE Spectrum. IEEE. Retrieved 20 April 2021.
  3. ^ Patterson, Alan (12 Sep 2018), "TSMC: Chip Scaling Could Accelerate", www.eetimes.com, archived from the original on 24 September 2018, retrieved 23 September 2020
  4. ^ Merritt, Rick (4 March 2019), "SPIE Conference Predicts Bumpy Chip Roadmap", www.eetasia.com, archived from the original on 27 June 2019, retrieved 23 September 2020
  5. ^ Zafar, Ramish (12 June 2019), TSMC To Commence 2nm Research In Hsinchu, Taiwan Claims Report, archived from the original on 7 November 2020, retrieved 23 September 2020
  6. ^ "Highlights of the day: TSMC reportedly adopts GAA transistors for 2nm chips", www.digitimes.com, 21 Sep 2020, archived from the original on 23 October 2020, retrieved 23 September 2020
  7. ^ a b Cutress, Ian, "Intel's Manufacturing Roadmap from 2019 to 2029: Back Porting, 7nm, 5nm, 3nm, 2nm, and 1.4 nm", www.anandtech.com, archived from the original on 2021-01-12, retrieved 2020-09-23
  8. ^ Wang, Lisa (26 Aug 2020), "TSMC developing 2nm tech at new R&D center", taipeitimes.com, archived from the original on 24 January 2021, retrieved 23 September 2020
  9. ^ Chien-Chung, Chang; Huang, Frances (23 Sep 2020), "TSMC to build 2nm wafer plant in Hsinchu", focustaiwan.tw, archived from the original on 25 October 2020, retrieved 23 September 2020
  10. ^ Udin, Efe (23 Sep 2020), "TSMC 2NM PROCESS MAKES A SIGNIFICANT BREAKTHROUGH", www.gizchina.com
  11. ^ 台积电2nm工艺重大突破!2023年风险试产良率或达90% (in Chinese), 22 Sep 2020
  12. ^ "Taiwan gives TSMC green light for most advanced chip plant". Nikkei Asia. Retrieved 2021-08-24.
  13. ^ Dahad, Nitin (9 Dec 2020), "EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology", www.eetimes.eu, archived from the original on 10 January 2021, retrieved 9 January 2021
  14. ^ Joint declaration on processors and semiconductor technologies, EU, 7 Dec 2020, archived from the original on 11 January 2021, retrieved 9 January 2021
  15. ^ Nellis, Stephen (6 May 2021), "IBM unveils 2-nanometer chip technology for faster computing", Reuters, archived from the original on 2021-05-07, retrieved 2021-05-06
  16. ^ Johnson, Dexter (6 May 2021), "IBM Introduces the World's First 2-nm Node Chip", IEEE Spectrum, archived from the original on 7 May 2021, retrieved 7 May 2021
  17. ^ 12nm gate length is the dimension defined by the IRDS 2020 to be associated with the "1.5nm" process node: [1]
  18. ^ Cutress, Ian (26 July 2021), "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!", www.anandtech.com
  19. ^ a b Cutress, Dr Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Retrieved 2021-07-27.
  20. ^ a b c Santo, Brian (27 July 2021), "Intel Charts Manufacturing Course to 2025", www.eetimes.com

Further reading[edit]

Preceded by
3 nm (FinFET/GAAFET)
MOSFET semiconductor device fabrication process Succeeded by
unknown